CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ddc d

搜索资源列表

  1. DDC.rar

    2下载:
  2. 个DDC使用的级联滤波器,结构CIC6+CFIR+PFIR,DDC using a cascade filter, the structure of CIC6+ CFIR+ PFIR
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:2270
    • 提供者:yeong
  1. DDC_FilterChain_HDL.zip

    1下载:
  2. simulink demo of ddc,simulink demo of ddc
  3. 所属分类:Multimedia program

    • 发布日期:2014-10-20
    • 文件大小:173537
    • 提供者:bnpvas
  1. CS8955TV_source_code.rar

    0下载:
  2. CS8955控制TV软件。用MCU做模拟电视的控制。,CS8955+TV+TNJ7355(tuner).The CS8955 micro-controller is an 8051 CPU core embedded device targeted for LCD Monitor, LCD TV, Home Appliance, or Consumer Products application. The CS8955 is pin-out compatible with MCS-51
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:578629
    • 提供者:martinliao
  1. DDC_DUC

    3下载:
  2. 数字上下变频FPGA设计的详细介绍资料,还是中文的。很舍不得上传的哦。-FPGA digital down conversion design detailed information, or Chinese. Oh, very reluctant to upload.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:357857
    • 提供者:陈洁
  1. 直接从显示器读取ddc数据

    2下载:
  2. 这个程序可以直接从显示器读取ddc数据。支持ati显卡。可以随意修改。方便大家研究利用。-This procedure can be read directly from the display ddc data. Ati graphics card support. Can be modified. To facilitate research use.
  3. 所属分类:多显示器编程

    • 发布日期:2012-10-31
    • 文件大小:202844
    • 提供者:刘柱
  1. gnb_duc_ddc_v1_0_20081113

    0下载:
  2. This GMS down upper converter and down converter in simulink. you may understand the structure in here, believe is useful to those who interested in telecommunication-This is GMS down upper converter and down converter in simulink
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-29
    • 文件大小:232745
    • 提供者:Nelson Loh
  1. wddc_module

    2下载:
  2. 数字下变频的Verilog程序,测试可以直接使用,将A/D信号下变频为基带I,Q两路信号-Digital down conversion of the Verilog program, testing can be used directly to A/D signal down-conversion to baseband I, Q signals two
  3. 所属分类:assembly language

    • 发布日期:2014-10-24
    • 文件大小:3226
    • 提供者:gaigai
  1. verilog_FPGA_DDC

    3下载:
  2. 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:王坤
  1. cic

    0下载:
  2. 在MATLAB2007A/SIMULINK环境下用DSP BUILDER8.0实现了五级CIC,解决了溢出问题。生成了可用的VHDL文件。- DSP BUILDER8.0 A 5 stages CIC filer is realized in MATLAB2007A/SIMULINK by using DSP Builder 8.0.The overflow problem is resulved.Useful VHDL files are generated at last.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1542858
    • 提供者:hcq
  1. if-receiver

    1下载:
  2. 中频数字接收机设计与实现 对中频数字接收机方案的可行性作了分析,并通过系统仿真工具SystemView对A/D,数字下变频(DDC)及AM、FM等调制信号的软件解调作了仿真。-Design and implementation of a digital intermediate frequency receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2050579
    • 提供者:ldd
  1. 工业自动化

    0下载:
  2. "DDC" 是泓格科技为楼宇自动化,HVAC(采暖、通风和空调)控制提供的解决方案产品。她可为用户提供高效和多样化的温度控制。 这种类型的DDC控制器采用隐藏式安装,使用80186处理器(16-bit,80MHz),搭配I/O外围扩展电路: D / A 转换电路,A / D 转换电路,以及用来连接传感器信号(温度,4~20mA,2~10Vdc)的光隔离电路,和执行器控制,包括使用常规PID控制的0~10Vdc模拟量线性输出到控制阀、逆变器、风门等。广泛应用于楼宇控制、工厂监测
  3. 所属分类:文档资料

搜珍网 www.dssz.com